芯学长 | 掌握芯资讯,引领芯未来

您当前所在位置:首页 > 芯片设计 > 数字IC验证

数字IC验证_SV基本语法练习

发布时间:2023-09-05来源:芯学长 0

SV基本语法是入行数字IC验证工程师必须要学习的语法知识,芯学长今天我们找来了IC修真院的学员分享SV基本语言,通过练习题和仿真结果来给大家说明,希望对大家有所帮助。

练习一:有符号数据与无符号数据对比

代码:

image

仿真结果:

image

相信各位小伙伴,都能理解该仿真结果的产生,但是可能有的小伙伴会有疑问,为什么signed_vector的-128结果中间有很多空格?你真的仔细,先为你竖起大拇指,接下来我们就讲解一下为什么输出结果会是这样。

这里是解释👇👇

首先,在开始阶段,声明变量logic_vector为8位,bit_vector为8位,signed_vector没有明确给出具体位宽,默认32位。是不是看到区别了,别激动,接着往下看,8位二进制数转换位十进制数最大值为2^8-1=255,位宽为3,同样道理,32位二进制数转换位十进制数最大值为2^32-1=42_6496_7295,位宽为10,理解了这个接下来就是重点了哦!!!在使用到display时,我们经常需要考虑到显示数据的对齐方式,一般会在%和指定基数之间通过指定域宽来实现显示数据的对齐,格式一般为:%(域宽值)(进制)

域宽值:即为显示数据的个数;

进制:可以是二进制b,十进制d,八进制o,十六进制h;

%(进制)默认为最大位宽

理解了这些,我们接着分析上边的代码:

$display("logic_vector=%d",logic_vector) 显示的logic_vector默认为最大位宽3

$display("bit_vector=%d",bit_vector) 显示的logic_vector默认为最大位宽3

$display("signed_vector=%d",signed_vector) 显示的logic_vector默认为最大位宽10

所以显示结果 logic_vector=128;bit_vector=128;signed_vector= -128(中间空7个位宽);如果想实现signed_vector= -128,可以修改代码:$display("signed_vector=%0d", signed_vector);%0表示按照实际位宽进行解释。

image

练习二:有符号数据与无符号数据转换

代码:

image

仿真结果:

image

练习三:数据截取

代码:

image

仿真结果:

image

练习四:队列的基本用法

代码:

image

image

仿真结果:

image

【免责声明】:本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。

文章评价

-   全部 0 条 我要点评

有疑惑?
在线客服帮您
029-81122100

立即咨询 >