芯学长 | 掌握芯资讯,引领芯未来

您当前所在位置:首页 > 资源下载 > 技术文库

IC设计中主要的EDA工具有哪些? (内附EDA虚拟机安装资源)

发布时间:2023-08-28来源:芯学长

下载资料
文件概述:

EDA工具的使用涵盖了芯片的功能设计、综合、验证、物理设计等环节,更是被称作“芯片设计的工作母机”。下面就来为大家具体介绍一下常见的EDA工具。

点击上方蓝色按钮,可免费下载EDA工具

什么是EDA?

EDA是电子设计自动化(Electronics Design Automation)的缩写,在半导体行业中,属于上游产业块-设计的一个子行业。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VerilogHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

常见EDA工具有哪些?

EDA工具软件可大致可分为芯片设计辅助软件、可编程芯片辅助设计软件、系统设计辅助软件等三类。

目前进入我国并具有广泛影响的EDA软件是系统设计辅助类软件和可编程芯片辅助设计软件:Protel、AlTIum Designer、、OrCAD、PCAD、LSIIogic、MicroSim、ISE、modelsim、Matlab等等。

这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同时还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。

需要EDA虚拟机安装资源文末可领取~

image

image

IC设计中使用的EDA工具如下:

1、架构的设计与验证

按照要求,对整体的设计划分模块。

架构模型的仿真可以使用Synopsys公司的CoCentric软件,它是基于System C的仿真工具。

2、HDL设计输入

设计输入方法有:HDL语言(Verilog或VHDL)输入、电路图输入、状态转移图输入。

使用的工具有:Active-HDL,而RTL分析检查工具有Synopsys的LEDA。

3、前仿真工具(功能仿真)

初步验证设计是否满足规格要求。

使用的工具有:Synopsys的VCS,Simens EDA的ModelSim,Cadence的Verilog-XL,Cadence的NC-Verilog。

4、逻辑综合

将HDL语言转换成门级网表Netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准;逻辑综合需要指定基于的库,使用不同的综合库,在时序和面积上会有差异。逻辑综合之前的仿真为前仿真,之后的仿真为后仿真。

使用的工具有:Synopsys的Design Compiler,Cadence的 PKS,Synplicity的Synplify等。

5、静态时序分析工具(STA)

在时序上,检查电路的建立时间(Setuptime)和保持时间(Hold time)是否有违例(Violation)。

使用的工具有:Synopsys的Prime Time。

6、形式验证工具

在功能上,对综合后的网表进行验证。常用的就是等价性检查(Equivalence Check)方法,以功能验证后的HDL设计为参考,对比综合后的网表功能,他们是否在功能上存在等价性。这样做是为了保证在逻辑综合过程中没有改变原先HDL描述的电路功能。

使用的工具有:Synopsys的Formality

Cadence概述

作为流行的EDA工具之一,Cadence一直以来以其强大的功能受到广大EDA工程师的青睐。Cadence可以完成整个IC设计流程的各个方面,如电路图输入(Schematic Input)、电路仿真(Analog Simulation)、版图设计(Layout Design)、版图验证(Layout Verification)、寄生参数提取(Layout Parasitic Extraction)以及后仿真(Post Simulation)。

cadence软件及安装指导

cadence软件及安装指导和EDA虚拟机安装资源,有需要的小伙伴可点击下方按需领取,更多学习资料也可以分享给大家。

image

image

点击上方蓝色按钮,可免费下载EDA工具

【免责声明】:本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。

文章评价

-   全部 0 条 我要点评