芯学长 | 掌握芯资讯,引领芯未来

您当前所在位置:首页 > 芯片设计 > 数字IC前端

数字IC前端设计工程师必读书籍有哪些

发布时间:2023-07-26来源:芯学长 0

基础类书籍

《半导体物理学》

这本书理论分析有一定深度,但又不是把基本物理概念淹没在繁琐的数学运算中,看完之后,会对半导体中的各种基本物理现象有一QM正确的概念,建立起清晰的半导体物理图像,为理解各种半导体器件,集成电路的工作机理打下良好的基础。

《半导体器件物理》

《半导体器件物理》这本经典著作在半导体器件领域已经树立起了的学习和参考典范。读这本书,可以快速地了解当今半导体物理和所有主要器件,如双极、场效应、微波、光子器件和传感器的性能特点。

《数字电子技术基础》

这本书全面、系统的介绍了数字电子技术的基础知识。全书由数制和码制、逻辑代数基础、门电路、组合逻辑电路、半导体存储电路、时序逻辑电路、脉冲波形的产生和整形电路、数一模和模一数转换等八章和附录组成。

专业书籍

《数字集成电路》

本书在对MOS器件和连线的特性做了简要的介 绍之后,深入分析了数字设计的核心——反相器,并逐步将这些知识延伸到组合逻辑电路、时序逻辑电路 、控制器、运算电路以及存储器这些复杂数字电路与 系统的设计中。为了反映数字集成电路设计进入深亚 微米领域后正在发生的深刻变化,本书以CMOS工艺的 实际电路为例,讨论了深亚微米器件效应、互连线建模和优化、信号完整性、时序分析、时钟分配、高性能和低功耗设计、设计验证、芯片测试和可测性设计等主题,着重探讨了深亚微米数字集成电路设计所面临的挑战和启示。

《Verilog HDL高级数字设计》

这本书依据数字集成电路系统工程开发的要求与特点,利用Verilog HDL对数字系统进行建模、设计与验证,对ASIC/FPGA系统芯片工程设计开发的关键技术与流程进行了深入讲解,内容包括:集成电路芯片系统的建模、电路结构权衡、流水线技术、多核微处理器、功能验证、时序分析、测试平台、故障模拟、可测性设计、逻辑综合、后综合验证等集成电路系统的前后端工程设计与实现中的关键技术及设计案例。书中以大量设计实例叙述了集成电路系统工程开发须遵循的原则、基本方法、实用技术、设计经验与技巧。

【免责声明】:本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。

文章评价

-   全部 0 条 我要点评

有疑惑?
在线客服帮您
029-81122100

立即咨询 >