芯学长 | 掌握芯资讯,引领芯未来

您当前所在位置:首页 > 芯片设计 > 数字IC后端

数字IC后端入门书籍推荐,你想知道的都在这里!

发布时间:2023-07-26来源:芯学长 0

虽然2022年IC行业门槛有所提高,但这也抵挡不住同学们对转行IC的热情,数字后端的发展前景和高薪也在众多岗位中脱颖而出,那么数字IC后端工程师如何快速入门?下面小编就带大家来了解一下。

数字后端工程师是做什么的?

数字芯片后端工程师主要工作就是接收数字前端提交的代码,最终交付一个完整的芯片布局布线结果。

 它是一门兼具形式美和工程实践需求的技术。形式美,直接来源于功能内容和需求,在后端设计的环节中,数以万计的标准单元如散乱的点点繁星,却在功能、时序等满足的前提下,寻求各个Block之间的依赖关系,进而使芯片内部之间呈现出和谐与稳定。

 数字后端技术含量并不低,所以同学们转行必须要付出时间、精力甚至金钱去高强度补充自己的基础知识缺漏。转行门槛基本是本科及本科以上的理工科学生。

数字IC后端技术含量怎么样?

 那么对于做过后端的人来说,他们说后端没有技术含量在一定程度上是真的,因为复杂度不高的芯片真的已经不需要太多人工干预了,尤其是产品线和流程比较成熟,按部就班更新,加上对功耗、性能和面积没有强烈要求的芯片。

 但是,能做完这些项目就能代表你能独立做出这款芯片的全部后端吗?其实很多人并没有真正了解,而是一直在跑流程。

 对于后端工程师,如果说你一直在做跑流程的工作,那就建议你去了解一下项目更深层次的东西,尝试一下不同的项目类型,在高频、低功耗、复杂时钟、复杂电源和先进工艺方面至少精通一种,熟悉两三种,否则时间长了真的没有太大的竞争力。反之,如果你能在上述某一个或者几个领域做到精通,那么你已经有了自己的一定程度的壁垒,比多数人已经强很多了。

 有一点需要注意的是,如果对编程不感兴趣又想从事数字IC设计工作,那么选择数字后端是非常合适的。

学习数字后端设计书籍推荐

1.《数字集成电路物理设计》

image 

本书是国内第一本全面、完整介绍当今数字集成电路后端布局布线设计技术的专门教材。作者结合自身多年理论研究和丰富的实践与教学经验,详细介绍了基于标准单元的数字集成电路从门级网表到最终布局布线版图生成过程中所涉及的多方面重要工作,包括布图规划、电源规划、布局、时钟树综合、布线、寄生参数提取、静态时序分析、签收验证和物理验证等。

这本书对基本概念的讲授通俗易懂,相关内容、配套习题和实验都与实际工程紧密联系,能够使读者能够打下坚实的工程实践基础。

2.《集成电路静态时序分析与建模》

image 

本书基于广度和深度两个方面来阐述整个CMOS集成电路静态时序分析流程与时序建模技术,并通过实践案例对技术应用进行更深入的讲解,使初学者在静态时序分析与建模两方面得到理论与实战的双重提高。

适合作为微电子与集成电路相关专业的研究生、本科生、职业技术类学生的教材和教辅书,也可作为电子、自控、通信、计算机类工程技术人员学习使用集成电路设计软件和进修集成电路设计的专业技术参考书与工具书。

3. 《Low Power Methodology Manual》

image 

这本书为工程师做低功耗系统片上(SoC)设计提供了一个实用的指南。

它涵盖了低功耗设计的各个方面,从建筑问题和设计技术到电源门控开关的电路设计。

除了为这些技术提供理论基础之外,本书还解决了在今天的设计中使用今天的工具实现它们的实际问题。

适合IC架构师、数字前端设计、后端设计、Custom Design等方向的同学们进行阅读。

4.《CMOS集成电路后端设计与实战》

 image

这本书详细介绍整个后端设计流程,分为概述、全定制设计、半定制设计、时序分析四大部分。同时基于广度和深度两个方面来阐述整个CMOS集成电路后端设计流程与设计技术,并通过实战案例进行更深入地技术应用讲解,使集成电路后端设计初学者同时得到理论与实战两方面的双重提高。

5.《高级ASIC芯片综合》

 image

这本书描述了使用Synopsys工具进行ASIC芯片综合、物理综合、形式验证和静态时序分析的概念和技术,同时针对VDSM(超深亚微米)工艺的完整ASIC设计流程的设计方法进行了深入的探讨。

以上就是为大家整理的IC后端设计工程师需要读的的书籍了,欢迎大家在评论区补充!

【免责声明】:本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。

文章评价

-   全部 0 条 我要点评

有疑惑?
在线客服帮您
029-81122100

立即咨询 >