芯学长 | 掌握芯资讯,引领芯未来

您当前所在位置:首页 > IC行业资讯 > 知识问答

入行IC | 新人入行IC选择哪个岗位更好?

发布时间:2023-09-27来源:芯学长 0

很多同学入行不知道怎么选择岗位。IC的岗位一般有设计、验证、后端、封装、测试、FPGA等等。但是具体到每个人身上,就要在开始的时候确定下你要找的职位,可以有两个或三个,但是要分出主次,主次不分会让你纠结整个找工作的过程。

所以入门首先就是要了解岗位,选择适合自己的方向。IC行业根据处理信号的不同可以分成数字IC和模拟IC。

数字IC方向的岗位主要分前端设计、功能验证、后端设计和DFT等。

前端设计

主要是逻辑设计。根据Spec,通过Verilog语言(硬件描述语言),设计RTL代码,实现要求的功能。

功能验证

主要给前端设计“找茬”。根据Spec,通过各种验证平台和工具,确保设计的功能符合Spec的要求。

后端设计

主要是物理设计。把验证后的RTL代码转化成门级网表,相比前端设计来说,更加贴近工艺。

DFT可测性设计

主要是方便芯片流片后的测试。在设计阶段,插入可测试电路,生成测试向量,以达到测试大规模芯片的目的。这个岗位的市场需求量是比较小的。

模拟IC方向的岗位主要分设计和版图两大类。

模拟设计

模拟IC就是处理连续性光、声音、速度、温度等自然模拟信号的IC,主要是用来对模拟信号进行采集、放大、形式变换和功率控制的。

模拟版图

模拟版图设计工程师为专业版图设计人员,主要负责通过EDA设计工具,进行集成电路后端的版图设计和验证,最终产生送交供集成电路制造用的GDSII数据。中文名版图设计工程师,我们也称之为layout 。

各岗位需要的工作技能/工作内容

数字前端设计工程师

岗位工作内容:

根据芯片需求,划分模块,设计电路,写RTL code,控制电路面积和功耗,配合验证人员debug case,完成模块级的timing 和电路综合。因为最初的源头代码是前端写的,所以前端往往需要从前跟到后,甚至到最后的上板测试,原型验证流程,都需要进行支持。

专业技能:

・熟练掌握SoC系统架构设计、RTL代码编写,算法逻辑设计以及IP集成评估;

・熟练掌握Verilog Testbench搭建,IP模块级和SoC系统级功能仿真和RTL代码调试

・熟练掌握超大规模集成电路的低功耗设计方法,如clock gating, power domain划分等;

・熟悉SOC复杂系统的测试性模式设计。

前端设计一般门槛相比其他岗位高,一般要求学历硕士以上,薪资待遇也比较高。

数字验证工程师

岗位工作内容

①读文档,写文档:Verification spec、Test plan。

②编程搭建验证平台:通常是用Systemverilog/UVM,如果做SOC,则用到C或C++。验证工程师也需要学习很多脚本语言,如Perl,Makefile,python。

③Debug:创建测试用例,跑仿真。

④support

数字验证工程师具备的的核心能力:

学习各种标准/文档的能力

编程能力

Debug能力

数字后端设计工程师

当前对于数字后端设计这个岗位的招聘要求(学校、学历、专业上)也是相对比较宽容的。但是数字IC设计后端工程师是对英语、物理的要求比较高的。如果英语很差的话,不建议选择这个岗位。

**主要负责的工作内容是:**将RTL code转换为实际后端使用的netlist网表,布局布线(PD),负责netlist到GDSII的转化过程。静态时序分析、物理验证、功耗分析等。

技能要求:

1.EDA工具使用:需要掌握Innovus/Encounter,ICC/ICC2,DC等等多种工具

2.脚本语言:TCL、Verilog、Perl、Python

3.分析报告

4.修错误

DFT工程师

DDFT主要是通过在芯片中加入可测性逻辑,等芯片制造出来,在ATE(AutomaticTestEquipment,自动测试仪)设备上通过可测性逻辑对芯片进行测试,挑出有制造缺陷的芯片并淘汰掉,留下没有制造缺陷的好芯片。

DFT职位的主要工作是:

1.将DFT技术,常见的如Scan,Mbist,Boundary Scan技术,实现到设计中去。

2.产生测试向量并验证测试向量。

3.协助后端实现工程师完成test模式的时序收敛。

4.协助测试工程师进行机台调试,debug 测试failure,提高芯片良率。

模拟IC设计

从单个晶体管开始,进行电路设计,给出完整的电路图。与数字不同,模拟IC往往不需要很高的集成度,而聚焦在精巧的电路结构。

这需要设计师深入的理解掌握模拟电路原理,根据芯片指标要求,采用合适的电路结构,定义具体器件参数,通过EDA软件仿真,调整电路参数,同时也要对器件和制造工艺有一定的了解。

模拟IC设计工作内容

简单点说就是从单个晶体管开始,进行电路设计最终给出完整电路图。

一般都是从系统规格定义开始,模拟IC设计工程师在这个阶段就要明确设计的具体要求和性能参数。

接下来,就是电路设计及电路仿真。需要通过模拟仿真来评估电路性能,根据仿真结果,看是需要反复仿真以改进电路还是根据设计要求进行下一步。

模拟版图工程师

模拟版图设计属于模拟芯片设计里面的一个岗位,版图工程师主要干的工作就是把电路图变成版图,同时做一些PV(物理验证),同时把版图的参数提取出来给到前端工程师,可能还要做一些仿真。

岗位工作内容:

1、画版图,就是把电路图变成版图画板图;

2、物理验证,就是验证DRC和LVS

3、提取寄生版图参数,然后给到工程师去做。

版图工程师需要掌握的技能:

1、EDA工具使用:

Cadence的virtuoso、calibre这两款工具需要掌握并熟练使用;

1、掌握画图技能

需要知道怎么画版图,同时还需要满足各种匹配,进一步还需要画一些top顶层。

2、掌握基本的电路知识

工艺的基本原理,常见的cmos工艺原理,特殊工艺如BCD、SOI工艺;对于初级工程师来说会CMOS工艺就足以。所以CMOS晶体管的基本原理以及工艺制造流程需要掌握。

如果你正在了解IC设计岗位,可以点击留言,我们专业的老师会为您1V1的进行岗位指导,帮你选择出来最适合您的岗位,并且给您发一些相关的资料。

image

【免责声明】:本站部分文章为转载或网友发布,目的在于传递和分享信息,并不代表本网赞同其观点和对其真实性负责;文章版权归原作者及原出处所有,如涉及作品内容、版权和其它问题,我们将根据著作权人的要求,第一时间更正或删除。

文章评价

-   全部 0 条 我要点评

有疑惑?
在线客服帮您
029-81122100

立即咨询 >